半導體行業(yè)深度報告:半導體國產化的下一步

未來智庫
軌道交通方面,碳化硅器件應用于軌道交通牽引變流器能極大發(fā)揮碳化硅器件高溫、高頻和低損耗特性,可提升系統(tǒng)的整體效能,符合軌道交通大容量、輕量化和節(jié)能型牽引變流裝置的應用需求。

本文來自未來智庫。

科創(chuàng)板制度紅利及國產化推動半導體板塊發(fā)展

半導體行業(yè)在科創(chuàng)板注冊制下充分受益。根據(jù)Wind數(shù)據(jù),自2019年6月科創(chuàng)板開板至2022年7月26日,A股上市半導體公司總數(shù)量增加2.1倍到105家,總市值上升到2.7萬億元。公募基金半導體持倉占比從2Q19的1.2%上升到2Q22末的5.1%,半導體成為中國股票投資中的重要行業(yè)之一。

2019年至今半導體板塊市值占比增加明顯,行業(yè)地位在A股市場重要性顯著提高。根據(jù)我們統(tǒng)計,自2019年初以來,得益于半導體國產化及科創(chuàng)板落地,A/H科技股半導體子板塊市值增加了2.52萬億元(包含個股股價上漲,及新上市公司加入的貢獻),達到2.82萬億元,較19年初增長了631%,相比之下A/H科技股手機產業(yè)鏈子板塊市值增加了48%,通信及安防設備子板塊市值僅增加了40%。

從市值占比來看,半導體板塊從2021年初的15%增加到2022年7月26日的45%,在A股市場重要性顯著提高。

2345截圖20220818151609.png

A股半導體企業(yè)在模擬、功率、CIS、封測等領域具備一定競爭力。經過多年的發(fā)展,我國大部分中低端半導體產品實現(xiàn)了國產化替代,但是高端產品有待進一步的發(fā)展和提高國產化率。目前在消費類電子,如機頂盒芯片、監(jiān)控器芯片等以及通信設備芯片,國內廠商能較好地兼顧性能、功耗、成本等因素,被市場廣泛認可。但在高端如智能手機、汽車、工業(yè)以及其他嵌入式芯片市場,我國仍落后。

我們認為未來中國半導體國產化擁有三大重要方向:第三代半導體、半導體設備、AI/大算力芯片

1)第三代半導體:根據(jù)Yole和我們的測算,到2025年全球碳化硅(SiC)和氮化鎵(GaN)市場規(guī)模有望分別達60/35億美元,分別達2020年的5/4倍。第三代半導體可滿足高溫、高壓、高頻等應用場景,與新能源汽車、光伏、5G、消費快充等高成長性下游領域深度綁定,未來成長空間廣闊。目前國內廠商已基本覆蓋全產業(yè)鏈,未來有望把握國產替代機遇,實現(xiàn)份額快速提升。

2)半導體設備:受地緣政治影響,我們認為全球半導體行業(yè)的生產中心未來會從中國臺灣一級集中走向全球分散布局。發(fā)展制造,設備,材料等核心環(huán)節(jié),避免“卡脖子”問題是未來中國半導體行業(yè)重要發(fā)展路線。我們看到中國企業(yè)在清洗、PVD、爐管、刻蝕等領域取得長足進展,光刻機等關鍵設備上國產化率幾乎為0。美國出口管制壓力下,設備國產化需求存在進一步提升空間。

3)AI/大算力芯片:據(jù)WSTS,全球計算芯片2021年市場規(guī)模約1548億美元,占全球半導體市場28%。主要以英偉達、AMD和英特爾三家獨大,21年三家營收總和1036.14億美元。我們看到國內目前在四大領域計算芯片正加速國產替代:1)信創(chuàng)市場:國產化率政策驅動下PC及服務器CPU/GPU進口替代加速;2)數(shù)據(jù)中心:依托互聯(lián)網(wǎng)云計算企業(yè)投資建設加碼;3)智能汽車:電子電氣架構向中央集成轉變下,車載芯片迎來發(fā)展;4)安防:龍頭企業(yè)受制裁疊加海思缺位下芯片國產化率較高。

2345截圖20220818151609.png

第三代半導體國產化

第三代半導體材料在高壓、高溫、高頻場景具備明顯優(yōu)勢

第三代半導體材料是指以碳化硅、氮化鎵為代表的寬禁帶半導體材料,主要應用于高壓、高溫、高頻場景。與前兩代半導體材料相比,第三代半導體材料禁帶寬度大,具有擊穿電場高、熱導率高、電子飽和速率高、抗輻射能力強等優(yōu)勢。

因此相較于傳統(tǒng)硅基器件,采用第三代半導體材料制備的半導體器件不僅體積小重量輕,同時還具備更高的功率輸出密度、更高的能量轉換效率,可以顯著提升系統(tǒng)裝置的性能。其中,碳化硅器件具備耐高壓、低損耗和高頻三大優(yōu)勢,可以滿足高溫、高壓、大功率等條件下的應用需求,廣泛應用于新能源汽車、光伏、工控等領域。氮化鎵器件具備高開關頻率、耐高溫、低損耗等優(yōu)勢,可用于制作功率、射頻、光電器件,廣泛應用于消費電子、新能源車、國防、通信等領域。

碳化硅用于生產功率及射頻器件,產業(yè)鏈包括襯底制備、外延層生長、器件及下游應用。根據(jù)電化學性質不同,碳化硅晶體材料分為半絕緣型襯底(電阻率高于105Ω·cm)和導電型襯底(電阻率區(qū)間15~30mΩ·cm)。不同于傳統(tǒng)硅基器件,碳化硅器件不可直接制作于襯底上,需先使用化學氣相沉積法在襯底表面生成所需薄膜材料,即形成外延片,再進一步制成器件。通過在半絕緣型碳化硅襯底上生長氮化鎵外延層制得碳化硅基氮化鎵外延片,可制成HEMT等微波射頻器件,適用于高頻、高溫工作環(huán)境,主要應用于5G通信、衛(wèi)星、雷達等領域。

在導電型碳化硅襯底上生長碳化硅外延層制得碳化硅外延片,可進一步制成碳化硅二極管、碳化硅MOSFET等功率器件,適用于高溫、高壓工作環(huán)境,且損耗低,主要應用于新能源汽車、光伏發(fā)電、軌道交通、智能電網(wǎng)、航空航天等領域。

氮化鎵用于生產射頻、功率、光電器件,產業(yè)鏈與碳化硅類似。氮化鎵器件所用襯底主要包括碳化硅襯底、硅襯底、藍寶石襯底、氮化鎵襯底。通過在碳化硅襯底上生長氮化鎵外延層制得GaN-on-SiC外延片可制成射頻器件,碳化硅基氮化鎵射頻器件具備更高效率、更大帶寬、更高功率等優(yōu)勢,可更好的滿足5G宏基站、衛(wèi)星通信、微波雷達、航空航天等軍事/民用領域對射頻器件的高要求;通過在硅襯底上生長氮化鎵外延層制得GaN-on-Si外延片可制成功率器件,硅基氮化鎵功率器件具備高轉換效率、低導通損耗、高工作效率等特點,可在大功率快充充電器、新能源車、數(shù)據(jù)中心等領域實現(xiàn)快速滲透;通過在藍寶石/氮化鎵襯底上生長氮化鎵外延層制得GaN-on-Sappire/GaN-on-GaN外延片可制成光電器件,氮化鎵光電器件具備基帶寬度大、擊穿電場高、電子飽和漂移速度快等特性,在Mini LED、Micro LED、傳統(tǒng)LED照明領域應用優(yōu)勢突出。

2345截圖20220818151609.png

全球SiC市場空間廣闊,國內廠商奮起直追

新能源汽車/光伏/工控等領域加速滲透,2025年市場規(guī)模有望增長至2020年的5倍

2020年全球SiC器件市場規(guī)模達11.84億美元,預計到2025年有望增長至59.79億美元,對應CAGR為38.2%。我們考慮SiC滲透率等假設,根據(jù)下游領域分別測算后加總。在碳中和趨勢下,受益于SiC在新能源汽車、光伏、工控等領域的持續(xù)滲透,SiC功率器件市場規(guī)模有望從2020年的2.92億美元增長至2025年的38.58億美元,對應CAGR為67.6%;5G、國防驅動GaN-on-SiC射頻器件加速滲透,逐步取代硅基LDMOS,SiC射頻器件市場規(guī)模有望從2020年的8.92億美元增長至2025年的21.21億美元,對應CAGR為18.9%。下游SiC功率及射頻器件高速增長的需求也將帶動SiC材料市場規(guī)模快速成長,按照SiC材料在SiC器件中價值量占比50%計算(根據(jù)CASA),預計將由2020年的5.92億美元增長至2025年的29.90億美元,對應CAGR為38.2%。

驅動力#1新能源汽車:800V架構時代來臨,SiC加速滲透的核心驅動力

我們認為碳化硅加速滲透的核心驅動力為新能源汽車。根據(jù)我們的測算,2020年全球新能源汽車SiC器件及模塊市場規(guī)模為2.7億美元,預計到2025年達30.1億美元,對應CAGR為62.3%,占全球碳化硅器件市場規(guī)模將達到50%;由此帶來的2020年對SiC晶圓(6寸)的消耗量達13.7萬片,預計到2025年將達199.6萬片,對應CAGR為71.0%。目前應用碳化硅的包括特斯拉、比亞迪中高端車型等,主要場景為主逆變器/OBC,我們預計至2025年SiC滲透率有望達38/43%,我們認為其主要驅動力為1)特斯拉、比亞迪、蔚來、小鵬等頭部新能源車廠的“示范效應”;2)碳化硅器件價格下降后帶來系統(tǒng)經濟效益;3)800V架構有望成為重要催化劑,1200V SiC在高壓下較IGBT性能優(yōu)勢更為明顯。展望未來,我們認為全球新能源汽車滲透率的快速提升將驅動SiC市場規(guī)模高速增長。

2345截圖20220818151609.png

驅動力#2光伏:SiC光伏逆變器性能提升顯著,廣泛應用未來可期

據(jù)英飛凌,使用SiC MOSFET功率模塊的光伏逆變器,其轉換效率可從98.8%提升至99%以上,能量損耗降低8%,相同條件下輸出功率提升27%,推動發(fā)電系統(tǒng)在體積、壽命及成本上實現(xiàn)重要突破。據(jù)CASA數(shù)據(jù),2020年光伏逆變器中碳化硅器件滲透率為10%,預計2025年將增長至50%。高效、高功率密度、高可靠和低成本為光伏逆變器未來發(fā)展趨勢,SiC器件有望迎來廣闊增量空間。

驅動力#3工控:SiC模塊有望在軌交、智能電網(wǎng)、風電等領域實現(xiàn)全方位滲透

軌道交通方面,碳化硅器件應用于軌道交通牽引變流器能極大發(fā)揮碳化硅器件高溫、高頻和低損耗特性,可提升系統(tǒng)的整體效能,符合軌道交通大容量、輕量化和節(jié)能型牽引變流裝置的應用需求。智能電網(wǎng)方面,碳化硅器件突破了硅基功率半導體器件的局限性,具有高頻、高可靠性、高效率、低損耗等獨特優(yōu)勢,推動智能電網(wǎng)的發(fā)展和變革。此外碳化硅功率器件在風力發(fā)電、工業(yè)電源、航空航天等領域也已實現(xiàn)成熟應用。

綜上,我們測算2020年全球SiC功率器件市場規(guī)模為2.92億美元,受新能源車、光伏、工控等需求驅動,預計到2025年將增長至38.58億美元,對應CAGR為67.6%。2025年新能源車、新能源發(fā)電、工控占SiC功率器件市場規(guī)模比重分別為77.88/13.71/8.41%。

競爭格局:襯底及外延市場集中度高,器件領域海外廠商占絕對主導

SiC襯底市場高度集中,Wolfspeed、Ⅱ-Ⅵ全面領先,2020年山東天岳在半絕緣型市場份額達30%。碳化硅襯底為碳化硅產業(yè)鏈核心環(huán)節(jié),據(jù)Yole數(shù)據(jù),2020年半絕緣型碳化硅襯底和導電型碳化硅襯底市場規(guī)模分別達1.82億、2.76億美元。其中,1)Wolfspeed、Ⅱ-Ⅵ、山東天岳三家寡頭壟斷半絕緣型碳化硅襯底市場,2020年合計市場份額達98%,市場高度集中。2)導電型碳化硅襯底市場Wolfspeed一家獨大。Wolfspeed憑借較早布局先發(fā)優(yōu)勢,在良率及產能上遙遙領先,2020年占據(jù)60%市場份額,Ⅱ-Ⅵ以11%市場份額位居第二。

2345截圖20220818151609.png

Wolfspeed、Showa Denko雙寡頭壟斷SiC外延片市場。碳化硅外延片屬于行業(yè)產業(yè)鏈中間環(huán)節(jié),參與廠商多為IDM公司,Industry Research測算2020年全球碳化硅外延片市場規(guī)模約為1.72億美元。據(jù)Yole數(shù)據(jù),2020年Wolfspeed與Showa Denko分別占據(jù)碳化硅導電型外延片市場52%和43%的市場份額,合計高達95%,具備顯著的制備技術優(yōu)勢。其他碳化硅外延供應商包括Ⅱ-Ⅵ、Norstel、羅姆、三菱電機、英飛凌,占據(jù)市場較小份額。

歐美廠商占據(jù)SiC功率器件市場主要份額。SiC功率器件制造工藝壁壘較高,目前市場主要廠商為傳統(tǒng)硅基功率器件巨頭及借助SiC材料介入器件領域的新銳玩家Wolfspeed,市場集中度高于IGBT器件及模塊市場。據(jù)Yole數(shù)據(jù),2020年全球碳化硅功率器件市場CR5達90.8%,顯著高于IGBT器件及模塊市場的62.8%和66.7%,歐美廠商占據(jù)主要市場份額。其中,意法半導體成功研制全球第一款大規(guī)模應用于電動汽車的SiC MOSFET模塊,與特斯拉的合作為其累積大量市場份額,2020年達40.5%。

2345截圖20220818151609.png

產業(yè)鏈各環(huán)節(jié)國產化進程及國內主要公司情況

國內外廠商積極布局碳化硅,產業(yè)鏈日趨完善。以碳化硅材料為襯底的產業(yè)鏈主要包括碳化硅襯底制備、外延層生長、器件及模組制造三大環(huán)節(jié)。伴隨更多廠商布局碳化硅賽道,產業(yè)鏈加速走向成熟。目前,碳化硅行業(yè)企業(yè)形成兩種商業(yè)模式,第一種覆蓋完整產業(yè)鏈各環(huán)節(jié),例如Wolfspeed、Rohm;第二種則只從事產業(yè)鏈的單個環(huán)節(jié)或部分環(huán)節(jié),例如Ⅱ-Ⅵ僅從事襯底及外延的制備,英飛凌則只負責器件及模組的制造。當前,國內的碳化硅生產廠商大多屬于第二種商業(yè)模式,聚焦產業(yè)鏈部分環(huán)節(jié)。

襯底:國內主要從事碳化硅襯底業(yè)務的企業(yè)主要有天岳先進、天科合達等。國內碳化硅襯底企業(yè)已掌握2-6英寸碳化硅襯底的制備技術,并持續(xù)擴大投資碳化硅襯底項目。一方面為擴大6英寸碳化硅襯底產能;另一方面為推進8英寸碳化硅襯底的研發(fā),縮小與國際龍頭企業(yè)的技術差距。據(jù)中國電子材料行業(yè)協(xié)會半導體材料分會(CEM)統(tǒng)計,截至2021年,全國碳化硅襯底規(guī)劃投資超300億元,預計規(guī)劃年產能達200萬片。其中,天岳先進投資2.5億元開展6英寸導電型碳化硅襯底項目,預計于3Q22投產,2026年達產后將實現(xiàn)新增產能30萬片/年;天科合達碳化硅產業(yè)化基地計劃于2022年建成投產,達產后預計可實現(xiàn)年產12萬片6英寸碳化硅晶片;露笑科技于22年7月初完成25.67億元定增,全力推進碳化硅產能建設,建成可實現(xiàn)滿產24萬片6英寸導電型碳化硅襯底片的年產能規(guī)劃,同時,加大力度推進8英寸氮化硅襯底片研發(fā);山西爍科于2022年初實現(xiàn)8英寸N型碳化硅拋光片小批量生產,向8英寸國產N型碳化硅拋光片的批量化生產邁出了關鍵一步。我們認為伴隨著碳化硅襯底制備技術的不斷成熟和產能擴張持續(xù)推進,國產碳化硅襯底企業(yè)市場份額有望實現(xiàn)持續(xù)提升。

外延:國內主要從事碳化硅外延業(yè)務的企業(yè)主要有東莞天域和瀚天天成等。目前我國已研制成功6英寸碳化硅外延晶片,且基本實現(xiàn)商業(yè)化。其中,東莞天域目前擁有國內最多的碳化硅外延爐-CVD,并已實現(xiàn)4、6英寸4H-SiC外延晶片全系列產品的批量生產,同時正積極突破研發(fā)8英寸SiC工藝關鍵技術;瀚天天成目前已實現(xiàn)3、4、6英寸碳化硅外延晶片的批量生產,可應用于600-6500V碳化硅電力電子功率器件器件&模塊:國內布局碳化硅器件&模塊業(yè)務的上市企業(yè)主要有華潤微、時代電氣、士蘭微、斯達半導等,未上市的包括泰科天潤等。國內廠商在SiC功率器件領域入局較晚,目前市場份額較小,但由于行業(yè)處于早期階段,格局尚未定型,國內廠商仍有較大替代空間。

產品布局方面,目前國內已經商業(yè)化的SiC產品可覆蓋650V-3300V全電壓等級。其中,華潤微已發(fā)布650V/1200V工業(yè)級SiC二極管系列產品;同時自主研發(fā)的平面型1200V SiC MOSFET進入風險量產階段,靜態(tài)技術參數(shù)達到國外對標樣品水平;時代電氣已發(fā)布1200V-3300V SiC SBD/MOSFET/模塊系列產品,同時于21年底發(fā)布了國內首款基于自主SiC大功率電驅產品C-Power 220s;士蘭微已完成車規(guī)級SiC MOSFET器件研發(fā),正在進行全面的可靠性評估,將要送客戶評價并開始量產;斯達半導已實現(xiàn)車規(guī)級SiC功率模塊量產,21年新增多個使用全SiC MOSFET模塊的800V系統(tǒng)的主電機控制器項目定點;泰科天潤已實現(xiàn)650V-3300V多規(guī)格SiC二極管和1200V SiC MOSFET產品量產;此外三安集成、基本半導體等公司也已實現(xiàn)650V-1700V SiC MOSEFET的小規(guī)模量產。

產能布局方面,面對廣闊的發(fā)展前景,國內各大廠商積極布局擴產。其中,斯達于21年8月宣布投資5億元在SiC芯片研發(fā)及產業(yè)化項目,達產后預計將形成年產6萬片6英寸SiC芯片生產能力;時代電氣擬投資4.6億元將現(xiàn)有4英寸SiC芯片線1萬片/年的能力提升到6英寸SiC芯片線2.5萬片/年;士蘭微SiC功率器件中試線已于1H21實現(xiàn)通線,現(xiàn)著手在廈門士蘭明鎵公司建設一條6英寸SiC功率器件芯片生產線,預計在3Q22實現(xiàn)通線。設備:國內布局碳化硅設備的企業(yè)主要有北方華創(chuàng)、晶盛機電、華峰測控等。其中,北方華創(chuàng)第三代半導體設備已實現(xiàn)批量銷售;晶盛機電已開發(fā)長晶設備、拋光設備及外延設備,其中6英寸碳化硅外延設備兼容4、6寸碳化硅外延生長,沉積速度、厚度均勻性及濃度均勻性等技術指標已到達先進水平;華峰測控針對SiC功率模塊推出基于STS8200測試平臺的PIM專用測試解決方案,現(xiàn)已實現(xiàn)批量裝機。

GaN下游領域豐富,國內廠商積極布局

消費快充/5G/新能源汽車/數(shù)據(jù)中心多元應用驅動,26年市場規(guī)模有望達20年接近4倍

2020年全球GaN器件市場規(guī)模達9.37億美元,Yole預計到2026年有望增長至35億美元,對應CAGR為24.6%。根據(jù)Yole,GaN的效率比LDMOS/硅器件要高10%-15%,受益于在5G通信基站和軍事應用的持續(xù)滲透,GaN射頻器件市場規(guī)模有望從2020年的8.91億美元增長至2026年的24億美元,對應CAGR為18%;隨著消費級快充、新能源汽車、數(shù)據(jù)中心的需求發(fā)展,GaN功率器件市場規(guī)模有望從2020年的0.46億美元增長至2026年的11億美元,對應CAGR為70%。

2345截圖20220818151609.png

驅動力#1:國防+通信是現(xiàn)階段拉動GaN射頻器件市場規(guī)模的主要驅動力

國防應用方面,以碳化硅為襯底的氮化鎵射頻器件同時具備碳化硅高導熱性能和氮化鎵高頻段下大功率射頻輸出優(yōu)勢,可滿足雷達系統(tǒng)/電子戰(zhàn)系統(tǒng)等領域對高頻性能、高功率處理能力的要求,同時氮化鎵器件能夠實現(xiàn)小體積和輕量化,更有利于雷達系統(tǒng)實現(xiàn)機載和艦載。根據(jù)Yole預測,國防應用GaN射頻器件市場規(guī)模有望從2020年的3.4億美元增長至2025年的11.1億美元,對應CAGR為22%。5G通信方面,GaN器件相比LDMOS和GaAs傳統(tǒng)器件,可以提供良好的寬帶性能以及卓越的功率密度和效率,并且在滿足嚴格的熱規(guī)范的同時,還能提供更高的集成度和更小的封裝,符合毫米波領域所需的高頻、大帶寬、尺寸小等要求。我們認為,未來GaN有望逐步取代LDMOS,成為射頻器件的主流技術。根據(jù)Yole預測,5G基站GaN射頻器件市場規(guī)模有望從2020年的3.7億美元增長至2025年的7.3億美元,對應CAGR為15%。

2345截圖20220818151609.png

驅動力#2:消費電子、新能源汽車等下游領域全面開花,推動GaN功率市場發(fā)展

在消費電子領域,GaN功率器件在消費電子中主要用于快速充電、無線充電、過電壓保護OVP等場景。相比傳統(tǒng)硅器件,氮化鎵快充體積小重量輕,在顯著提升充電速度的同時,能夠降低系統(tǒng)待機的電量消耗。伴隨其性能優(yōu)化、產能提升和成本控制,我們認為氮化鎵快充有望實現(xiàn)快速滲透。根據(jù)Yole預測,全球消費電子GaN功率市場規(guī)模有望從2020年的2870萬美元增長至2026年的6.72億美元,對應CAGR為69%。在汽車電子領域,GaN功率器件在電動汽車中主要用于車載充電器OBC、DC-DC/DC-AC及電機控制。與傳統(tǒng)硅材料相比,搭載氮化鎵器件提高車載充電系統(tǒng)效率,同時減輕車載散熱系統(tǒng)的負擔并增加電動汽車的續(xù)航里程。我們認為,汽車電動化對功率器件的要求不斷提升,有望推動GaN功率半導體上車放量。根據(jù)Yole預測,受全球電動汽車GaN功率市場規(guī)模有望從2020年的30萬美元增長至2026年的1.55億美元,對應CAGR為185%。

在數(shù)據(jù)中心領域,GaN功率器件在數(shù)據(jù)中心中主要用于PSU電源供應單元中。與傳統(tǒng)硅材料相比,搭載氮化鎵功率器件可以提升系統(tǒng)效率、提高計算性能、降低設備的冷卻成本。我們認為,伴隨數(shù)據(jù)中心能耗管理需求大幅提高,有望持續(xù)拉動GaN功率器件需求。根據(jù)Yole預測,全球數(shù)據(jù)中心GaN功率市場規(guī)模有望從2020年的910萬美元增長至2026年的2.33億美元,對應CAGR為71%。根據(jù)Yole,2020年全球GaN功率器件市場規(guī)模為0.46億美元,受益于消費電子、新能源車、數(shù)據(jù)中心等領域全面滲透,Yole預計到2026年將增長至11億美元,對應CAGR為70%,其中消費電子/新能源車/數(shù)據(jù)中心占比分別為61.1/14.1/21.2%。

競爭格局:歐、美、日廠商是氮化鎵產業(yè)鏈各環(huán)節(jié)的核心玩家

從產業(yè)鏈各環(huán)節(jié)來看,歐、美、日廠商為主要參與者。其中,GaN襯底市場主要由日本廠商主導。相對于常規(guī)半導體材料,GaN單晶的生長進展緩慢,GaN襯底主要以2-4英寸小尺寸晶圓為主。據(jù)半導體產業(yè)網(wǎng),2020年住友電工、三菱化學、住友化學等三家日商合計占據(jù)GaN襯底市場份額超過85%。GaN外延片市場中,歐、美、日廠商占據(jù)領先位置。據(jù)QYResearch,2020年全球GaN外延片市場CR5為53%,核心廠商包括NTT AT、Wolfspeed、住友化學、EpiGaN(Soitec)等。GaN器件市場的競爭格局較為集中。據(jù)新材料在線,2020年全球氮化鎵射頻器件前三大廠商為住友化學、Wolfspeed、Qorvo,市場份額分別為40%/24%/20%,CR3為84%;據(jù)Trendforce,2020年全球氮化鎵功率器件前5大廠商為PI、Navitas、EPC、Transphorm和英諾賽科,CR5為88%。

2345截圖20220818151609.png

產業(yè)鏈各環(huán)節(jié)國產化進程及國內主要公司情況

GaN產業(yè)鏈與SiC類似,主要包括GaN單晶襯底、外延層生長、器件設計、制造。目前行業(yè)龍頭企業(yè)以IDM模式為主,但是設計與制造環(huán)節(jié)已經開始出現(xiàn)分工。從氮化鎵產業(yè)鏈公司來看,國外公司在技術實力以及產能上保持較大的領先。中國企業(yè)仍處于起步階段,雖已初步形成全產業(yè)鏈布局,但市場份額和技術水平仍相對落后。襯底:國內目前實現(xiàn)GaN襯底產業(yè)化的企業(yè)主要有蘇州納維、中鎵半導等公司。其中,蘇州納維目前已可以實現(xiàn)2英寸GaN單晶的量產,并完成了4英寸和6英寸GaN單晶襯底關鍵技術的研發(fā)。中鎵半導已建成國內首家專業(yè)的氮化鎵襯底材料生產線,可制備出厚度達1100微米的自支撐GaN襯底,并能夠穩(wěn)定生產。

外延:國內目前布局GaN外延的企業(yè)主要有蘇州晶湛、聚能晶源等公司。其中,蘇州晶湛擬投資2.8億元進行氮化鎵外延片異地擴建項目,預計2023年建成投產,可實現(xiàn)年產氮化鎵外延片24萬片,其中,6英寸和8英寸氮化鎵外延片年產能分別為12萬片;同時擬投資1000萬元進行原廠擴產,建成后,預計年新增氮化鎵外延片1萬片,其中6英寸和8英寸氮化鎵外延片年產能分別新增5000片;聚能晶源已掌握業(yè)界領先的8英寸GaNon-Si、6英寸GaN-on-SiC外延技術,可以為客戶提供符合業(yè)界標準的高性能GaN外延晶圓產品。設計:國內GaN器件Fabless廠商主要有華為海思、安譜隆等公司。IDM/制造:國內GaN器件IDM廠商主要有蘇州能訊、英諾賽科、江蘇能華、大連芯冠科技等公司;同時海威華芯和三安集成可提供GaN器件代工服務。其中,英諾賽科已建立了全球首條產能最大的8英寸GaN-on-Si晶圓量產線,目前產能達到每月1萬片/月,并將逐漸擴大至7萬片/月。大連芯冠科技在氮化鎵功率領域,已實現(xiàn)6英寸650V硅基氮化鎵外延片的量產,并發(fā)布了比肩世界先進水平的650伏硅基氮化鎵功率器件產品;在氮化鎵射頻領域,已著手進行硅基氮化鎵外延材料的開發(fā)、射頻芯片的研發(fā)與產業(yè)化準備工作。

國產替代加速中國半導體設備行業(yè)發(fā)展,看好國產化長邏輯

2022年全球半導體設備市場規(guī)模有望再創(chuàng)歷史新高。半導體設備行業(yè)的核心增長驅動力是下游晶圓廠的資本開支,由于半導體行業(yè)的強周期屬性,晶圓廠的擴產節(jié)奏及資本開支同樣也呈現(xiàn)較強的周期性特征,帶動半導體設備行業(yè)呈現(xiàn)一定的周期性。近年來,隨著先進制程投資比例逐步加大,以及本地建廠的趨勢興起,全球半導體設備市場的周期性有所減弱,呈現(xiàn)波動上行的趨勢。根據(jù)SEMI,雖然新冠疫情爆發(fā)對供需兩側形成沖擊,但在臺積電大幅提升資本開支及中芯國際、華虹等國內本土晶圓廠積極擴產的帶動下,2021年全球半導體設備市場規(guī)模同比增長44.7%至1030億美元。2022年隨著存儲器尤其是DRAM資本開支回暖,疊加臺積電、聯(lián)電、中芯國際、GlobalFoundries等晶圓代工廠商持續(xù)高強度資本開支,SEMI預計2022年全球半導體資本開支將同比增長24%至1,904億美元,帶動半導體設備市場規(guī)模增長14.7%至1,175億美元。隨著全球半導體進入下行周期,2023年全球設備市場規(guī)模隨周期下行,但隨著新興代工廠建設,總體波動幅度較小。

2345截圖20220818151609.png

當前中國半導體設備主要集中在熱處理/氧化擴散、去膠、PVD和刻蝕等

當前中國半導體設備產品主要集中在熱處理/氧化擴散設備、去膠設備、PVD和刻蝕設備,根據(jù)Gartner,2021年其國產化率分別為13.6%/33.66%/2.8%/2.8%。而對于工藝相對復雜的道次所需的半導體設備(如光刻機、離子注入設備和過程控制設備),國產化率則仍處于低位,根據(jù)Gartner,2021年光刻機領域國產化率幾乎為0%,離子注入和過程控制設備自給率均不到1%。隨著半導體產能向大陸轉移、制程和硅片尺寸升級、政策的大力支持,大陸半導體設備增長強勁。目前國內Stepper光刻機基本可以滿足當前量產封裝需求,性價比高,客戶需求響應度快;Mask Aligner光刻機目前主要應用于小尺寸晶圓和低精度應用,4/6英寸可以滿足量產要求,但精度、穩(wěn)定性需要繼續(xù)提高。另外,8英光刻寸設備國內自主研發(fā)不足,未來亟需填補國內市場空白。除此之外,國內干法去膠機、干法蝕刻機和薄膜沉積設備基本成熟,已經具備量產應用,而研磨設備國內目前尚處于起步階段,未來有望實現(xiàn)國產替代。

2345截圖20220818151609.png

投資亮點一:供應鏈安全催化產能區(qū)域化,本土晶圓廠擴產助力設備廠崛起

全球2032年全球半導體資本開支增速開始放緩。受到全球經濟疲弱、通脹壓力和需求下滑的影響,22年全球晶圓廠擴產速度趨緩。臺積電在高雄7/28納米新廠以及目標提高特殊制程產能的南科Fab 14擴建P8廠的計劃相對有所放緩,英特爾(Intel)也因美國芯片法案卡關宣布其俄亥俄州新廠動工時間將延后。受下行周期影響,Omidia預測2023年全球資本開支下滑6.0%。

供應鏈安全催化產能區(qū)域化,23年中國大型晶圓廠資本開支仍持續(xù)提升,利好上游本土設備廠商。近年來受到中美貿易摩擦影響,供應鏈安全問題日益凸顯,中國系統(tǒng)廠商和本土設計廠紛紛轉向本土代工廠,為其帶來龐大市場需求。因此,不同于全球半導體資本開支放緩趨勢,本土晶圓廠產能仍持續(xù)擴張,進而拉升對上游設備廠商的需求。

根據(jù)各公司公開披露數(shù)據(jù),中芯國際、華虹宏力、華力微、長江存儲和合肥長鑫五家中國龍頭晶圓/存儲廠2022年資本開支有望從2021年136億美元提升至179億美元,同比增長32%,較2021年1%的同比增速提升31個百分點。我們認為中國市場和全球有所不同,雖然中芯國際,華虹等會隨著經濟周期放慢資本開支步伐,但粵芯、積塔、中芯紹興等新廠產能在未來幾年會陸續(xù)釋放,推動2023年中國大陸地區(qū)半導體設備資本開支增加0.9%,看好國產化率提升推動下設備廠商業(yè)績保持韌性。

2345截圖20220818151609.png

2021年,中國半導體設備企業(yè)平均實現(xiàn)59%收入增長,增速顯著高于AMAT、ASML等全球主要設備企業(yè)中國區(qū)平均收入增速29%。從業(yè)績側角度,不同于海外半導體公司3Q21以來營收增速的逐步下滑,本土半導體公司營收增速持續(xù)提升,未見放緩,3Q21/4Q21/1Q22本土半導體設備公司營收增速分別達到50%/56%/70%,預計22年隨著本土晶圓廠擴產進程的持續(xù)推進,營收增速將持續(xù)提升。

毛利率方面,19-22年本土和海外半導體設備公司齊升,本土半導體設備公司平均毛利率提升幅度超海外平均水平,1Q22平均毛利率達到44%,接近海外公司48%的平均毛利率水平。受上海疫情以及下游消費需求疲軟等影響,很多半導體公司Q2及Q3業(yè)績面臨一定的壓力,但半導體設備板塊業(yè)績堅挺,一季度受到疫情影響延遲的訂單可能會在二季度確認,全年業(yè)績有望保持高于行業(yè)平均的增速。

投資亮點二:看好未來設備行業(yè)國產化率持續(xù)提升

當前半導體設備國產化率較低,國產替代空間廣闊。由于半導體設備行業(yè)本身的商業(yè)模式,行業(yè)龍頭通常會擁有較強的規(guī)模效應及用戶粘性,故設備各細分賽道市場集中度較高,且大多被國際龍頭壟斷。各領域國內公司市占率均較低,除整體市場規(guī)模低于20億美元的去膠設備和氧化設備領域外,本土設備公司在各細分領域市占率之和均不及5%,國產替代空間廣闊。從技術角度看,當前國內各設備細分領域龍頭公司工藝水平均已達到28nm及以上成熟制程技術要求,與海外龍頭公司技術差距逐步縮小,具備一定制程范圍內國產替代的能力。

我們認為在國產替代需求的推動下,中國半導體設備市場規(guī)模將持續(xù)增長。由于目前設備的低自給率,我們看好未來10年半導體設備國產化的投資機會。我們認為清洗設備、后道檢測設備有望率先突破,晶圓加工核心設備技術難度高,但在國家大力支持與企業(yè)持續(xù)不斷的研發(fā)投入下,具備研發(fā)實力的公司一旦突破核心技術,有望享受到巨大的市場紅利。從客戶國產替代的角度看,21年長江存儲前20kwpm招標各細分設備中,本土設備廠商表現(xiàn)亮眼,中微公司在刻蝕設備占比14%,北方華創(chuàng)在PVD設備和熱處理設備占比9%/31%,盛美在清洗設備占比18%,拓荊在CVD設備占比2%。

2345截圖20220818151609.png

投資亮點三:本土設備廠產品品類持續(xù)擴張,平臺化布局加碼業(yè)績增長

近年來,本土設備廠商持續(xù)拓寬產品廣度和挖掘產品深度,平臺化布局有利于其后續(xù)規(guī)模的持續(xù)擴張。芯片制造各階段所需設備種類不同,具體涵蓋清洗設備、氧化設備、光刻設備、涂光顯影設備、刻蝕設備、去膠設備、離子注入設備、CMP設備、過程控制設備等,中國設備廠商往往僅專注于其中1-2種設備。我們認為,拓寬現(xiàn)有設備的覆蓋工藝及道次將為公司營收增長提供更多可能性,有助于本土設備廠商規(guī)模的擴張。這是因為每拓展一種新的半導體設備業(yè)務,廠商便能夠參與該設備所在細分領域的競爭,理論可得的市場空間也將隨之拓寬。此外,挖掘產品深度,在特定細分設備領域中持續(xù)推出性能更佳的新產品將有助于公司在該細分領域市占率的提升,也有利于公司整體營收規(guī)模的提升。我們看好未來中國半導體設備公司受益于平臺化布局,業(yè)績持續(xù)增長。2021年北方華創(chuàng)、盛美上海、屹唐半導體和芯源微均實現(xiàn)產品品類擴張,營收規(guī)模進一步提升,2021年中國市場市占率分別達到2.45%/0.78%/1.43%/0.41%。我們認為隨著中國半導體設備公司平臺化布局的持續(xù)推進,中國半導體設備業(yè)將繼續(xù)增長,市場規(guī)模有望持續(xù)擴張。

大算力芯片:信創(chuàng)/數(shù)據(jù)中心/汽車/安防場景落地

大算力芯片是什么?

全球計算芯片:通用+專用雙驅動,摩爾定律失效下異構計算成為趨勢

計算芯片可大致分為通用芯片、專用芯片和可編程邏輯門陣列。其中,通用芯片(CPU/GPU等)在計算芯片市場占據(jù)主流。根據(jù)IC Insights數(shù)據(jù),2021年全球MPU市場規(guī)模達到1030億美元,預計2025年市場規(guī)模將達到1278億美元,2021-2025 CAGR為5.5%。在通用芯片中,CPU作為計算機系統(tǒng)運算和控制的核心,主要用在PC、服務器等領域,2020年全球市場規(guī)模達到512億美元。而GPU優(yōu)秀的并行處理能力能幫助CPU分擔圖像處理等復雜計算任務,2020年全球市場規(guī)模為365億美元,市場上主要玩家包括英偉達和AMD。專用芯片主要包括DSP和ASIC,主要針對云端、智能汽車等特定場景的加速計算需求,國內寒武紀、平頭哥均采用ASIC路線。目前ASIC在2021年的全球市場規(guī)模達到199億美元。

2345截圖20220818151609.png

計算芯片行業(yè)壁壘體現(xiàn)在芯片設計、芯片制造以及生態(tài)構建三大方面,全球競爭格局高度集中。我們認為以Intel、高通、NVIDIA、聯(lián)發(fā)科及AMD為代表主要廠商取得成功的關鍵要素在于:1)重視研發(fā)創(chuàng)新,形成密集研發(fā)投入與高利潤率相互支撐的正向循環(huán);2)擁有先進制造工藝配套支持,不斷推進產品性能升級;3)構建完善軟硬件生態(tài),培育用戶黏性。計算芯片行業(yè)技術變革步伐迅速,龍頭廠商先發(fā)優(yōu)勢顯著,呈現(xiàn)強者恒強特征。1Q22英特爾、AMD分別占據(jù)全球PC CPU市場65.7/34.1%份額;而英偉達在獨立GPU領域占據(jù)全球78%份額。數(shù)據(jù)中心、智能汽車快速發(fā)展,英偉達市值12年翻39倍。過去十年,英偉達、AMD、英特爾三大科技巨頭憑借各自在電腦、手機、AI云計算的優(yōu)勢實現(xiàn)了市值飛躍,2022年7月26日的市值較2010年分別同比+3928/+2023/+38%至4133/1381/1593億美元,三家公司合計市值接超8000億美元。其中,英偉達憑借在游戲顯卡、數(shù)據(jù)中心加速卡、智能汽車等新應用領域的領先優(yōu)勢,當前市值達到2010年的39倍,已超越英特爾、AMD的市值水平。

中國計算芯片:技術加速追趕,國產替代空間廣闊

近年來,國產計算芯片在技術方面得到長足發(fā)展,但產業(yè)化速度出現(xiàn)分化,主要由于面向的下游應用有所不同。目前,我們觀察到面向信創(chuàng)、數(shù)據(jù)中心、安防以及智能汽車領域的國產計算芯片發(fā)展較快:

1)信創(chuàng)市場:信創(chuàng)市場具有明確的國產化要求及具體的執(zhí)行時間表,信創(chuàng)PC及服務器CPU/GPU國產化趨勢明確;

2)數(shù)據(jù)中心:依托互聯(lián)網(wǎng)云計算企業(yè)投資加碼,AI加速芯片行業(yè)快速發(fā)展;

3)智能汽車:自動駕駛和智能座艙對芯片算力需求不斷提升,國產智能汽車具備供應鏈安全備份以及降本需求;

4)安防:國內安防龍頭在全球出貨量占比較高,受清單限制國產化需求迫切,海思缺位下大批國產芯片廠商切入。

國產大算力芯片的機會在哪里?

信創(chuàng):政策驅動PC及服務器CPU/GPU進口替代加速

短期來看信創(chuàng)政策剛性要求,推動CPU等關鍵基礎零部件國產替代。我國信創(chuàng)產業(yè)發(fā)展核心在于通過行業(yè)應用拉動構建完整國產化信息技術軟硬件底層架構體系和全周期生態(tài)體系,計劃從早期的政策驅動發(fā)展逐步走向市場驅動發(fā)展。行業(yè)布局來看,我國信創(chuàng)產業(yè)呈現(xiàn)“2+8+N”發(fā)展態(tài)勢。其中,黨、政部門布局最早,2019年工信部要求全國黨政行業(yè)從底層服務器到中間件、操作系統(tǒng)、數(shù)據(jù)庫、終端等進行全面國產替換,目標2020年、2021年分別實現(xiàn)30%和50%的國產替代,并在2022年實現(xiàn)全面國產替代。行業(yè)信創(chuàng)中,金融行業(yè)推進最快,2020年至2021年金融信創(chuàng)試點完成兩期,試點機構已擴容至198家,電信、交通、電力等行業(yè)緊隨其后。而汽車、物流等N個行業(yè)預計將在2023年開始發(fā)力。

2345截圖20220818151609.png

1)CPU:國產CPU產業(yè)初具規(guī)模,走出三條國產化路線

國產CPU沿三條路線走向進口替代,自主化程度存在差異。“十五”期間,泰山計劃、863計劃等催生了我國一批CPU廠商,經歷20年發(fā)展,現(xiàn)已形成以龍芯、飛騰、申威等為代表的具備競爭力的國產CPU力量。根據(jù)內核指令集路線,國產主流CPU廠商可分為三類:1)“指令集授權+自研”路線,代表廠商為龍芯和申威,分別基于LoongArch指令集和SW_64指令集,均為全新自研架構。2)“指令集授權”路線,代表廠商為海思和飛騰,兩者已獲得ARM V8架構指令集永久授權,擁有較高自主發(fā)展權。3)“IP內核授權”路線,代表廠商為獲得X86內核層級授權的兆芯和海光,其自主可控程度最低,但生態(tài)更完善。

國內CPU廠商發(fā)展路徑各有差異,廠商在自主可控和產業(yè)化速度兩方面進行取舍。目前,國產CPU主要廠商為龍芯、申威、海思、兆芯、飛騰以及海光。在服務器領域,海思、飛騰及海光性能上表現(xiàn)突出,所采用的ARM、X86架構擁有較為完善的生態(tài)體系,受到市場認可。其中,華為海思具備較大技術領先優(yōu)勢,鯤鵬920產品性能上可媲美Intel至強系列CPU(Xeon 8180、Xeon E5-2630 V3),但目前受清單限制影響。在桌面領域,龍芯與飛騰產品擁有更大市場份額。龍芯由于使用自主架構,應用生態(tài)相較匱乏,但產品性價比更高且性能基本符合桌面端要求,因此同樣具備較高競爭力。飛騰利用ARM生態(tài)產品性能和研發(fā)速度表現(xiàn)優(yōu)異,申威CPU產品專用性較高,主要用于超算領域,性能上全球領先。

2)GPU:國產GPU奮起直追,圖像/計算花開兩朵

國產GPU廠商沿圖像/計算兩條路徑切入,人才、資本共同驅動產品落地。按照功能,GPU可分為圖像處理GPU和通用GPU(GPGPU)。圖像處理GPU側重圖形圖像的渲染,GPGPU則側重處理以人工智能訓練、推理為代表的通用計算任務,前者的軟硬件開發(fā)復雜程度高于GPGPU。我國GPU初創(chuàng)公司創(chuàng)始團隊多擁有英偉達、AMD等國際大廠工作背景,結合自身優(yōu)勢及階段性定位分別從不同路線切入。當前,國產GPU廠商在性能和生態(tài)建設上較國際廠商仍存在較大差距,但在人才和資本的雙重驅動下,國產GPU產品落地明顯加快。2022年5月成立僅1年的摩爾線程發(fā)布首款全功能國產智能顯卡“MTT S60”;2022年5月景嘉微宣布JM9系列第二款GPU已經完成流片、封裝階段工作,性能有望媲美GTX 1080。

2345截圖20220818151609.png

國內GPU廠商產品盡管與國外廠商仍存在差距,但差距在不斷縮小。以國內GPU廠商景嘉微為例,公司2014年發(fā)布的JM5400技術指標相當于ATI于2003年3月發(fā)布的M96芯片,與國外相當技術水平的產品發(fā)布時間相差近11年;2018年發(fā)布的JM7200與2012年NVIDIA發(fā)布的GT640接近,發(fā)布時間相差約6年;2021年發(fā)布的JM9系列在部分性能上逼近NVIDIA2016年發(fā)布的GTX1080,如核心頻率、外存容量、工藝制程等,但考慮到JM9系列32位單精度浮點性能僅為1.5TFlops,遠低于GTX1080的8.8TFlops,但接近GTX960的2.3TFlops,因此我們估計JM9系列NVIDIA的代際差距在5-6年之間。隨著在國產替代過程中的技術迭代和人才的流入,我們認為國內GPU芯片與海外廠商的差距將不斷縮小。

數(shù)據(jù)中心:依托互聯(lián)網(wǎng)云計算企業(yè)投資建設加碼,AI加速芯片異軍興起

AI加速芯片為國內初創(chuàng)企業(yè)提供更快切入服務器計算芯片市場機會。GPU、FPGA及ASIC為數(shù)據(jù)中心主要AI加速芯片,其中GPU憑借強大并行計算能力、高通用性以及成熟生態(tài)體系占據(jù)主要市場份額,國內天數(shù)智芯、登臨科技等廠商均通過GPU產品進入服務器計算芯片領域。相對而言,ASIC芯片內部結構較為簡單,通用性要求較低,為我國初創(chuàng)企業(yè)進入服務器計算芯片市場提供了更低門檻的路徑。具體來看,華夏芯等廠商選擇FPGA路線切入,由于FPGA產品兼顧靈活性與效率,其CPU+FPGA結構在應用和算法變化較多場景優(yōu)勢明顯;而寒武紀、燧原科技、平頭哥等初創(chuàng)公司則選擇ASIC技術路線,ASIC類芯片專用性強,在特定場景中其針對部分算法的加速效果要顯著優(yōu)于GPU,且能效比更高,其份額有望伴隨生態(tài)環(huán)境進一步完善實現(xiàn)較大提升。

國產云端推理芯片具備進口替代能力,訓練端仍有差距。按照功能不同,云端GPU及AI加速芯片劃分為訓練芯片和推理芯片。訓練芯片需通過海量數(shù)據(jù)訓練出復雜的神經網(wǎng)絡模型,使其適應特定的功能,對性能和精度有較高的要求,并需具備一定的通用性。近年來,國內廠商訓練芯片產品硬件性能不斷取得突破,但與市場主流英偉達A100產品仍存在一定差距。以云邃T10產品為例,其32位單精度浮點性能達20 TFLOPS,略高于A100的19.5 TFLOPS,且在功耗上更具優(yōu)勢,但內存寬帶不足A100的1/3,在應對機器學習和深度學習的帶寬需求上仍有差距。而推理芯片在性能和精度要求上相對較低,寒武紀、海思以及燧原等國內廠商產品已具備與市場主流的Tesla T4正面競爭能力。以寒武紀思元370為例,其INT8運算性能達到256 TOPS,高于T4的130TOPS,且能效比和性價比均更優(yōu),已具備替代T4能力。

2345截圖20220818151609.png

安防:安防龍頭供應受限+海思缺位為AI芯片初創(chuàng)企業(yè)提供發(fā)展機會

國產安防芯片企業(yè)崛起,前端基本實現(xiàn)國產替代

安防行業(yè)前端芯片產品基本實現(xiàn)國產替代,后端產品海外廠商主導。視頻監(jiān)控系統(tǒng)可以分為模擬監(jiān)控系統(tǒng)、網(wǎng)絡監(jiān)控系統(tǒng)。模擬監(jiān)控系統(tǒng)前端的ISP芯片主要負責對原始圖像信號進行降噪及曝光調整,國產化率較高,以富瀚微為國內龍頭;網(wǎng)絡監(jiān)控系統(tǒng)前端芯片為IPC SoC,在中低端領域國產全面替代,中高端產品瑞芯微、聯(lián)詠、國科微可以提供豐富的國產化方案。目前后端DVR/NVR SoC國產替代空間較大,前期華為海思占據(jù)較高份額,海思供應受限后,國內廠商陸續(xù)推出替代方案,如星宸科技、眸芯、瑞芯微等。

海思供應受限,國產安防SoC廠商積極把握窗口期實現(xiàn)份額提升

華為海思原為安防IPC SoC的行業(yè)龍頭,根據(jù)富瀚微公告數(shù)據(jù),2019年海思IPC芯片行業(yè)市場占比60%以上。后由于美國制裁,華為海思該業(yè)務逐漸收縮,根據(jù)集微咨詢數(shù)據(jù),2020年海思市場份額占比僅為30%。海思出貨量的驟減為本土芯片供應商提供機遇。其中星宸科技獲得了大華股份等設備商的支持;富瀚微則切入高端數(shù)字芯片領域,推出了8858等一系列針對攝像機的高端AI SoC芯片。

北京君正主打中低端市場,推出針對攝像機的T系列AI SoC芯片,2021年還推出了針對NVR的A系列產品,實現(xiàn)了前后端的完整布局。國外廠商安霸推出了CV5/CV52系列第一代5納米芯片,并布局CV28S22MEX和CV28S26MEX兩款10nm低功耗芯片,主攻高端市場,產品性能優(yōu)于國內廠商。綜上,行業(yè)中低端產品國產化程度較高,高端產品有待國內公司未來進一步發(fā)力。

汽車:行業(yè)邁入新景氣周期,催化車載芯片的發(fā)展

汽車電動化、智能化趨勢拉動汽車芯片需求快速增長。汽車電動化趨勢下,汽車半導體用量持續(xù)攀升。其中,汽車電子控制單元(ECU)負責一個或多個功能控制(如車身控制、駕駛控制、信息娛樂和駕駛輔助系統(tǒng)等),MCU作為ECU內部的核心部件,負責各種信息的運算處理。除了基礎的計算芯片以外,隨著座艙智能化、駕駛自動化的發(fā)展,大算力芯片在汽車中的應用也在不斷增加,國內亦孵化出一批汽車AI芯片初創(chuàng)企業(yè)。

2345截圖20220818151609.png

自動駕駛芯片:英偉達占據(jù)主導地位,CPU+XPU為主流架構

主流自動駕駛芯片架構為“CPU+XPU”。目前我國的智能駕駛滲透率在不斷提升,工信部的數(shù)據(jù)顯示,2021年國內L2級智能網(wǎng)聯(lián)乘用車滲透率達20%(yoy:+5 pct)。

智能駕駛芯片市場由Mobileye與英偉達兩分天下,國內廠商加速追趕。1)國外廠商:據(jù)Mobileye數(shù)據(jù),其產品在已發(fā)布的主流車型中占據(jù)70%市場,主要聚焦L3級以下市場,屬于輔助駕駛領域的龍頭;而英偉達在L3級以上領域實力雄厚,已和眾多車企在下一代車型中達成合作計劃;高通瞄準中高端自動駕駛市場,Ride能夠支持L1-L5自動駕駛。2)國內廠商:華為是國內智能駕駛AI芯片領頭羊,已借助北汽阿爾法S完成產品落地,后續(xù)將與長安、廣汽等更多品牌形成合作;地平線陸續(xù)推出征程系列產品,與比亞迪、哪吒、奇瑞等車廠保持緊密合作。截止目前除零跑外,大部分廠商都已發(fā)布單顆芯片算力超過100TOPS的自動駕駛芯片,均可支持L3、L4級算力要求。

智能座艙:性能要求低于自動駕駛,國內廠商已嶄露頭角

智能座艙是計算芯片在車內的另一主要應用場景。智能座艙是指搭載了智能化、網(wǎng)聯(lián)化的車載設備和服務,能夠實現(xiàn)人、車、路、云全方位智能交互的汽車座艙。根據(jù)華經產業(yè)研究院數(shù)據(jù),2020年國內智能座艙市場規(guī)模為567億元,預計到2025年將突破1000億元。相比于自動駕駛SoC,座艙SoC對芯片算力要求較低,IHS Markit預測到2024年,智能座艙NPU算力將達到136TOPS,約為2021年的10倍,CPU算力也將達到2021年的3倍之上。高通目前仍穩(wěn)坐智能座艙SoC頭把交椅。高通、英特爾、英偉達在中高端車型智能座艙主控芯片上競爭激烈,三星、華為異軍突起,切入高端市場;瑞薩、恩智浦等在中低端車型上應用較為廣泛,地平線、芯馳科技等國產創(chuàng)新廠商與國產車企展開合作。

2345截圖20220818151609.png

汽車國產供應鏈備份及降本需求,國產汽車ASIC/FPGA/SoC芯片快速崛起

借國產化之勢迅速崛起,初創(chuàng)企業(yè)積極試水,構建生態(tài)圈。智能汽車車載計算芯片市場處于發(fā)展初期,市場可選擇產品較少,海外龍頭憑借長期以來的技術積累,疊加客戶資源,占據(jù)了大部分市場。以地平線、黑芝麻智能、芯馳科技為代表的芯片公司憑借AI計算優(yōu)勢迅速切入智能汽車車載計算芯片市場,于“缺芯”+“國產替代”替代背景下,在汽車產業(yè)生態(tài)中與國外龍頭企業(yè)搶占下游客戶,通過“芯片+算法參考+工具鏈”的產品服務模式,積極探索自身產業(yè)定位,構建汽車產業(yè)生態(tài)圈。

THEEND

最新評論(評論僅代表用戶觀點)

更多
暫無評論