高性能計算令高密度扇出成為先進(jìn)封裝主戰(zhàn)場

天災(zāi)人禍之下供應(yīng)中斷,芯片制造業(yè)的區(qū)域獨立正成為一種趨勢。過去的五年里,中國投資先進(jìn)封裝技術(shù)的力度越來越大,許多公司正在進(jìn)入FOWLP或FOPLP業(yè)務(wù)。

本文來自微信公眾號“與非網(wǎng)eefocus”,作者/劉洪。

日前有媒體爆出,蘋果正小批量試產(chǎn)采用先進(jìn)封裝3D堆疊技術(shù)的系統(tǒng)整合芯片(SoIC),計劃采用SoIC搭配集成扇出(InFO)封裝方案,預(yù)計最快將2025-2026年用在MacBook上。

先進(jìn)封裝是下一代集成電路的關(guān)鍵基礎(chǔ),涵蓋小芯片(Chiplet)、倒裝(FlipChip)、凸塊(Bumping)、晶圓級封裝(WLCSP,如FOWLP(扇出晶圓級封裝)、扇出型板級封裝(FOPLP)、2.5D封裝(如interposer(中介層)、RDL(重布線層)等)、3D封裝(如TSV(硅通孔))、封裝天線(AiP)等先進(jìn)封裝技術(shù)。

受人工智能(AI)、數(shù)據(jù)中心、自動駕駛汽車、5G等HPC(高性能計算)和網(wǎng)絡(luò)市場采用超高密度封裝的推動,特別是AI和HPC應(yīng)用的不斷成熟,對數(shù)據(jù)傳輸?shù)男枨笳^續(xù)增加,進(jìn)而對半導(dǎo)體行業(yè)產(chǎn)生了強烈影響,推動了對創(chuàng)新封裝解決方案的需求。

未來,作為先進(jìn)封裝的主戰(zhàn)場,F(xiàn)OWLP的產(chǎn)量仍將主導(dǎo)市場。而作為先進(jìn)封裝一個重要的分支,扇出型(FO)封裝正在滲透到遠(yuǎn)大于每平方毫米18個的更高的I/O密度,同時實現(xiàn)更精細(xì)的RDL,將線寬/線距(L/S)尺寸微縮到5μm/5μm以下。其中超高密度扇出(UHDFO)封裝增長最快、高密度扇出(HDFO)和單芯片扇出封裝(Core FO)也是發(fā)展方向。我們來看看,有哪些廠商和技術(shù)將引領(lǐng)FO市場的發(fā)展。

1.png

制造商預(yù)測的超高密度扇出收入

九成以上FO市場群雄占據(jù)

Yole Intelligence數(shù)據(jù)顯示,2022年,F(xiàn)O收入為18.6億美元,預(yù)計到2028年將達(dá)到38億美元,復(fù)合年增長率為12.5%。增長最快的UHDFO復(fù)合年增長速度為30%,將從2022年的3.38億美元增長到2028的16.30億美元。

Yole Intelligence技術(shù)和市場分析師Gabriela Pereira表示,HDFO已經(jīng)是2022年的主要市場,收入為11.94億美元,復(fù)合年增長率為6.7%,2028年將達(dá)到17.57億美元。Core FO的復(fù)合年增長率為2.8%,從2022年的3.29億美元增至2028年的3.89億美元。

1.png

2018-2028 Core FO/HDFO/UHDFO封裝收入

他認(rèn)為,F(xiàn)OWLP的產(chǎn)量仍將主導(dǎo)市場,2028年的晶圓產(chǎn)量將達(dá)到2376K片,而FOPLP的300mm晶圓當(dāng)量產(chǎn)量為238K片。FO封裝總量將從2022年的23.48億個增長到29.6億個。

臺積電是高端計算、網(wǎng)絡(luò)和HPC應(yīng)用的高性能FO解決方案的市場領(lǐng)導(dǎo)者,占有76.7%的市場份額。2022年,臺積電與前三大外包半導(dǎo)體組裝和測試(OSAT)公司日月光(ASE)、Amkor和JCET共占據(jù)90%以上的FO市場。為了追趕臺積電,ASE、矽品(SPIL)、三星、JCET、Amkor、PTI、TFME和Nepes都在開發(fā)具有競爭潛力的類似解決方案。盡管Core FO是OSAT的主要市場,但主要發(fā)展方向是HD和UHDFO技術(shù)。

中國OSAT加速滲透FO供應(yīng)鏈

天災(zāi)人禍之下供應(yīng)中斷,芯片制造業(yè)的區(qū)域獨立正成為一種趨勢。過去的五年里,中國投資先進(jìn)封裝技術(shù)的力度越來越大,許多公司正在進(jìn)入FOWLP或FOPLP業(yè)務(wù)。

由于能夠?qū)崿F(xiàn)無基板(no-substrate)解決方案,在芯片和襯底短缺的情況下,扇出技術(shù)廣受歡迎。小芯片和異構(gòu)集成已經(jīng)成為利用較為成熟的光刻制造節(jié)點的手段。因此,隨著美國對先進(jìn)工藝技術(shù)實施限制,先進(jìn)封裝已成為中國公司市場競爭的戰(zhàn)略之一。

大多數(shù)中國OSAT投資的重點首先放在了低密度封裝上,這將有助于實現(xiàn)更快的投資回報。一些新的中國OSAT正在滲透FO封裝供應(yīng)鏈,如奕成科技、中科智芯和廈門云天、華潤微、易卜半導(dǎo)體、佛智芯等。這些公司為拓展先進(jìn)封裝能力的投資超過了25億美元。

1.png

2022中國FO封裝格局

小芯片和異構(gòu)集成推動FO發(fā)展

在HPC、網(wǎng)絡(luò)、汽車和高端移動市場應(yīng)用的推動下,F(xiàn)O封裝已從低端封裝技術(shù)發(fā)展成為高性能集成平臺。該技術(shù)的主要市場趨勢之一是將大型芯片劃分為小芯片并進(jìn)行異構(gòu)集成。FO是一個經(jīng)濟(jì)高效的平臺,可通過基于RDL的工藝實現(xiàn)高帶寬和高密度的芯片對芯片(D2D)互連。未來,UHDFO將通過創(chuàng)新的板級扇出型封裝(FOCoS)和FO嵌入式橋接解決方案從硅中介層獲得市場份額。

不過,Yole Intelligence也指出,雖然FOPLP被稱為廣泛采用FO的解決方案,特別是對于大封裝尺寸,但它仍然存在技術(shù)挑戰(zhàn),并且缺乏實現(xiàn)所需成本效益的需求。

1.png

適合不同需求和特點的不同應(yīng)用的FO

高性能FO日漸走紅

領(lǐng)先的IC代工廠已經(jīng)在交付7nm和5nm晶圓,3nm產(chǎn)品尚處于認(rèn)證階段。由于高晶體管密度需要更昂貴的工藝來制造,晶圓成本持續(xù)飆升。即使隨著新節(jié)點的出現(xiàn),缺陷密度可以保持相對平坦,但硅的單位面積成本也會非線性增加,因此,新的封裝技術(shù)已納入了未來產(chǎn)品架構(gòu)當(dāng)中。

1

扇出+橋接

在扇出工藝流程中,近年來FOCoS備受青睞。前不久,日月光推出FOCoS-Bridge大型封裝技術(shù),將多個小芯片封裝在一個基板上,實現(xiàn)了10顆小芯片高速互聯(lián)。

FOCoS-Bridge是日月光VIPack封裝平臺的一部分,也是2.5D封裝技術(shù)的改良版,可以滿足未來AI和HPC應(yīng)用對更高帶寬、更高速度芯片不斷增長的需求。日月光利用高度集成的扇出結(jié)構(gòu)優(yōu)勢克服了傳統(tǒng)電氣互連的局限性,并實現(xiàn)處理器、加速器和內(nèi)存模塊之間的高速、低延遲和節(jié)能數(shù)據(jù)通信。

FOCoS-Bridge技術(shù)的實現(xiàn)是在芯片基板上先放置一個用于小芯片間互連的獨立硅芯片,在此基礎(chǔ)上構(gòu)建銅連接層,經(jīng)加工暴露觸點后,將需要互連的小芯片以倒裝方式封裝在基板上實現(xiàn)互連。這種方式可以將1顆ASIC處理器與4顆高帶寬存儲器(HBM)封裝在一起,組成47×31mm的單元。然后,再將兩個單元并排封裝在一起,組成一個大型芯片,實現(xiàn)包含2顆專用集成電路(ASIC)處理器、4顆HBM及8顆封裝內(nèi)互連小芯片的完整封裝。

所謂FOCoS-Bridge是一種用于實現(xiàn)小芯片集成的硅橋技術(shù),利用封裝內(nèi)小芯片之間互連路由層集成微小硅片,如圖形計算芯片(GPU)和HBM。硅橋嵌入在扇出RDL層中。

FOCoS-Bridge是采用硅中介層的2.5D封裝的替代品。與2.5D一樣,硅橋在封裝中提供超細(xì)間距互連,以解決系統(tǒng)中的內(nèi)存帶寬瓶頸挑戰(zhàn)。與2.5D相比,F(xiàn)OCoS-Bridge的優(yōu)勢在于只在將兩個小芯片連接在一起的區(qū)域使用硅片。

FOCoS-Bridge的制作工藝是將具有微凸點的待嵌入硅橋芯片連接到載片(wafer carrier)上,然后在上面鍍Cu柱。隨后的第一成型工藝是研磨成型以暴露Cu柱和微凸塊,然后在其上形成RDL層。之后,連接ASIC和HBM芯片,進(jìn)行底部填充和二次成型。移除載片并形成凸塊后,將模制晶圓鋸成單獨的扇出封裝。最后使用傳統(tǒng)的倒裝芯片工藝將扇出封裝連接到有機基板上。

1.png

FOCoS-Bridge制作工藝

該技術(shù)的好處在于提供了與硅中介層解決方案類似的電氣、信號和功率完整性性能,但成本較低,且沒有掩模版尺寸限制。其設(shè)計具有高度可擴展性,能夠無縫集成到復(fù)雜的芯片架構(gòu)中,同時提供具有亞微米L/S、高I/O數(shù)和高速信號傳輸?shù)母呙芏菵2D互連,以滿足不斷發(fā)展的AI和HPC需求。

硅橋芯片的使用使芯片邊緣線性密度比傳統(tǒng)的有機倒裝芯片封裝高一個數(shù)量級。此外,F(xiàn)OCoS-Bridge為在扇出封裝中嵌入無源和有源芯片奠定了基礎(chǔ),并提供了去耦電容器集成選項,有助于功率傳輸優(yōu)化,并直接訪問某些功能(如存儲器、I/O等)的有源芯片。

該技術(shù)可以更高效地利用計算資源,加速數(shù)據(jù)密集型工作負(fù)載,并有助于推進(jìn)AI算法、深度學(xué)習(xí)、科學(xué)模擬和其他計算要求高的任務(wù)。其應(yīng)用包括AI、數(shù)據(jù)中心、服務(wù)器和網(wǎng)絡(luò)應(yīng)用的多芯片和HBM集成,以及APU/CPU/GPU的內(nèi)存和無源集成,還有AI、數(shù)據(jù)中心、移動、自動處理器、通信基礎(chǔ)設(shè)施和網(wǎng)絡(luò)應(yīng)用的小芯片。

矽品的扇出嵌入式橋接(FOEB)技術(shù)創(chuàng)造了一種根據(jù)需要將橋接晶片放置在小芯片或存儲模塊下方,從而提供硅密度的D2D互連的方法。橋接本身嵌入在封裝中,補充了多層扇出金屬化(fan-out metallization)。金屬化提供封裝微縮工藝路線,而通孔通過橋接層提供垂直互連。該技術(shù)與日月光的方法有異曲同工之處。

1.png

嵌入式橋接(FOEB)

FOEB的優(yōu)點是采用模塑料橋接技術(shù),具有高IO/高帶寬、細(xì)線/多層RDL可路由性。其目標(biāo)應(yīng)用同樣是高性能計算、網(wǎng)絡(luò)和數(shù)據(jù)服務(wù)器的高端應(yīng)用。

2

高密度互連

異構(gòu)封裝一直是業(yè)界想要的答案,但直到最近這種設(shè)計方法才變得實用。原本是單個芯片的系統(tǒng)級芯片(SoC)現(xiàn)在正從同質(zhì)設(shè)計中分離出來,并在更小的獨立硅芯片中創(chuàng)建。一個趨勢是去除與存儲器通信的I/O塊及長距離串行/解串器(SerDes),以創(chuàng)造一個電氣、功能、物理的構(gòu)建塊,使IC功能塊可以重復(fù)使用。

最新進(jìn)展始于2.5D硅通孔(TSV)產(chǎn)品,在同一IC封裝中與ASIC一起實現(xiàn)非常高密度的DRAM存儲器或HBM。Amkor的HDFO解決方案就是一種優(yōu)化性能和成本的異構(gòu)IC封裝。

使用小芯片實現(xiàn)異構(gòu)結(jié)構(gòu)的IC封裝在很大程度上受到小芯片之間通信接口的影響。對許多應(yīng)用來說,要選擇芯片之間IO為100s或1000s的高速并行接口,就需要高密度互連。

Amkor開發(fā)的基板硅晶圓集成扇出(S-SWIFT)或橋接技術(shù)(S-Connect)2.5D TSV的HDFO解決方案,實現(xiàn)了細(xì)線布線至2µm線寬、2µm間距和6層結(jié)構(gòu)。這種模塊制造技術(shù)可以實現(xiàn)小芯片和HBM的集成。S-Connect是HDFO和橋接的組合產(chǎn)品,目前正處于內(nèi)部鑒定階段。

1.png

芯片對芯片接口選擇使封裝差異化

S-SWIFT利用Cu細(xì)線光刻和有機電介質(zhì)實現(xiàn)現(xiàn)場制造的高水平信號布線密度。S-Connect很少使用高密度RDL技術(shù),但增加了高密度橋接,有助于實現(xiàn)小芯片之間的高密度路由。使用Cu混合鍵合提供的超密集垂直D2D互連的3D芯片堆疊在未來也將優(yōu)化2D集成。

1.png

先進(jìn)封裝解決方案

HDFO是頭部公司的一場戰(zhàn)爭

早在2018年,三星電機(SEMCO)的FOPLP工藝對FO封裝市場產(chǎn)生了積極影響。三星在其智能手表中采用HDFOPLP是一個關(guān)鍵的里程碑。目前,HDFO基本上只由蘋果的應(yīng)用處理器引擎(APE)驅(qū)動,該引擎使用臺積電的inFO_PoP制造。另一方面,三星正在其豪華和高端智能手機中實現(xiàn)HDFOPLP的商業(yè)化。因此,到2025年,三星將創(chuàng)造21%的HDFO市場份額。問題在于,三星能否在盡可能短的時間內(nèi)實現(xiàn)成本降低。

AMD發(fā)布的GPU小芯片設(shè)計新專利提出了一種新設(shè)計方案——將一個大型單片GPU拆分為幾個小芯片,并使用FO技術(shù)連接不同的芯片,但在基板上方添加一個小硅橋來連接兩個芯片,AMD稱其為高架扇出橋2.5D。

1.png

高架扇出橋2.5D

蘋果工作站M1 ultra則使用了臺積電的扇出技術(shù)。M1 Ultra芯片將兩個M1 Max芯片組與1140億個晶體管、一個20核CPU和一個64核GPU集成在一起,在消耗相同功率時,多線程性能比最快的16核臺式機高出90%,性能也比目前市場上最快的臺式機GPU快,功耗低200W。其UltraFusion D2D連接實現(xiàn)2.5TB/s的帶寬,包括兩個并行M1 Max SoC的通信。

不過,M1 Ultra并不是在臺積電CoWoS-S(帶硅中介層的晶圓上芯片)2.5D封裝上大規(guī)模生產(chǎn)的,而是采用本地硅互連(LSI)的InFO,更具成本效益。

1.png

臺積電消費電子HDFO解決方案

一切為了降本增效

FO封裝技術(shù)可以生產(chǎn)出高性能的半導(dǎo)體器件,同時增加I/O數(shù)量,前景一片光明。隨著行業(yè)在異構(gòu)IC封裝路線圖方面不斷取得進(jìn)展,以及硅架構(gòu)靈活性的提高、小芯片的重復(fù)使用、上市時間的縮短和總體成本的降低,F(xiàn)O將繼續(xù)推動封裝行業(yè)的持續(xù)創(chuàng)新和發(fā)展。

THEEND

最新評論(評論僅代表用戶觀點)

更多
暫無評論