先進(jìn)封裝,關(guān)注什么?

隨著摩爾定律的放緩以及前沿節(jié)點(diǎn)復(fù)雜性和成本的增加,先進(jìn)封裝正在成為將多個裸片集成到單個封裝中的關(guān)鍵解決方案,并有可能結(jié)合成熟和先進(jìn)的節(jié)點(diǎn)。異構(gòu)集成和基于小芯片的方法在人工智能、網(wǎng)絡(luò)、自動駕駛、高端PC和高端游戲等細(xì)分市場中變得必不可少。

本文來自微信公眾號“半導(dǎo)體行業(yè)觀察”,作者/編輯部。

盡管整體經(jīng)濟(jì)不景氣,但先進(jìn)封裝市場繼續(xù)保持彈性。

根據(jù)Yole Group最新的報道,與上一年相比,2022年的收入增長了約10%。2022年價值443億美元,預(yù)計2022-2028年復(fù)合年增長率(CAGR)為10.6%,到2028年達(dá)到786億美元。

360截圖16251112669372.png

報告進(jìn)一步指出,用于將芯片與更先進(jìn)節(jié)點(diǎn)集成的高端性能封裝預(yù)計到2028年將超過160億美元,占先進(jìn)封裝領(lǐng)域的20%以上。在不同的先進(jìn)封裝平臺中,2.5D/3D增長最快,2022年至2028年的CAGR接近40%。它是分析和開發(fā)最多的技術(shù)之一,收入占比較大。

在日前舉辦的ECTC(電子元件和技術(shù)會議)上,Yole的分析師分享了對先進(jìn)封裝未來發(fā)展的一些看法。

Chiplet和異構(gòu)集成徹底改變了先進(jìn)封裝

在Yole看來,隨著摩爾定律的放緩以及前沿節(jié)點(diǎn)復(fù)雜性和成本的增加,先進(jìn)封裝正在成為將多個裸片集成到單個封裝中的關(guān)鍵解決方案,并有可能結(jié)合成熟和先進(jìn)的節(jié)點(diǎn)。異構(gòu)集成和基于小芯片的方法在人工智能、網(wǎng)絡(luò)、自動駕駛、高端PC和高端游戲等細(xì)分市場中變得必不可少。通過先進(jìn)封裝技術(shù)實現(xiàn)的異構(gòu)集成可在緊湊的平面中實現(xiàn)具有成本效益的多芯片集成,與傳統(tǒng)封裝相比也可實現(xiàn)更卓越的性能。

360截圖16251112669372.png

在封裝內(nèi)集成更多數(shù)量的有源電路是一種通過密集互連將不同功能分配到集成到同一封裝中的不同芯片的方法。上市時間也縮短了,因為芯片可以來自不同的制造商并進(jìn)行組裝。

Octopart在一篇文章中則透露,要制造基于小芯片的產(chǎn)品,您需要設(shè)計技能、裸片、裸片之間的連接以及生產(chǎn)策略。chiplet封裝技術(shù)的性能、價格和成熟度對chiplet的應(yīng)用有著實質(zhì)性的影響。根據(jù)連接介質(zhì)和方式的不同,用于chiplet互連的封裝技術(shù)可分為三類:基板封裝(Substrate packaging)、硅中介層封裝(Silicon-interposer packaging)、再分布層(RDL:Redistribution-layer)封裝以及嵌入式多芯片互連橋(EMIB:Embedded multi-die interconnect bridge)。

首先看基板封裝。流行的基板選擇是有機(jī)材料,因為這些材料很容易獲得。與傳統(tǒng)PCB類似,布線連接是通過蝕刻工藝完成的,該工藝獨(dú)立于半導(dǎo)體制造中使用的其他工藝。這是最著名的2D異構(gòu)集成的最早化身。

通過倒裝芯片設(shè)計或堆疊,可以輕松地將多個裸片高密度地連接到基板上。此外,與之相關(guān)的材料和制造成本極低,因為該技術(shù)不依賴于芯片制造工藝。使用這種技術(shù)的主要缺點(diǎn)是I/O引腳密度低,從而限制了這些封裝中互連的帶寬。

其次看硅中介層封裝,該技術(shù)涵蓋2.5D和3D封裝技術(shù),芯片在中介層上橫向構(gòu)建(2.5D)或垂直堆疊(3D)。為了實現(xiàn)裸片之間的互連和通信,將硅中介層放置在基板和裸片之間。中介層就像一個微型印刷電路板,本質(zhì)上是為小芯片之間的電連接提供基板?;ミB結(jié)構(gòu)由金屬觸點(diǎn)(稱為微凸塊)和在封裝內(nèi)部運(yùn)行的硅通孔(TSV)構(gòu)成。這些用于將裸片連接到中介層,并將中介層連接器連接到具有BGA焊盤圖案的封裝基板。

360截圖16251112669372.png

這些產(chǎn)品具有更高的I/O密度、更低的功耗和更低的傳輸延遲。這是通過微凸塊和TSV之間更小的走線長度和間距實現(xiàn)的。該技術(shù)的唯一顯著缺點(diǎn)是制造成本增加。

再看RDL封裝技術(shù),再分布層(RDL)封裝技術(shù)不使用基板,而是將電介質(zhì)和金屬直接沉積在晶圓的頂面上。該技術(shù)也稱為“扇出”技術(shù)。為了承載線路設(shè)計,構(gòu)建了一個重新分布層,使得每個小Chiplet上的I/O端口圍繞設(shè)備成形。通過縮短電路的長度,RDL提供更高的信號完整性(更低的損耗和失真)。

至于EMIB,則是一種使用嵌入有機(jī)材料中的薄硅晶片部分作為芯片到芯片互連基板的技術(shù)。高級產(chǎn)品成本較高的問題可以通過使用具有不同Chplet的橋接封裝來解決。這種混合封裝技術(shù)是基于基板和基于中介層的封裝的組合。通常小于75微米的薄硅層被涂在基板上并用于形成芯片間連接,這些層嵌入有機(jī)基板層中。

該概念遵循HDI PCB中使用的ELIC中的相同想法,其中每層互連結(jié)構(gòu)將多個芯片連接到絕緣(有機(jī))基板中的內(nèi)層。封裝的EMIB部分是一個硅橋,可在小芯片之間提供高帶寬連接。

360截圖16251112669372.png

混合鍵合無處不在

Yole在文章中強(qiáng)調(diào),ECTC的幾個會議專門討論了混合鍵合(hybrid bonding)。在他們看來,該技術(shù)正在成為異構(gòu)集成和小芯片空間內(nèi)組裝技術(shù)進(jìn)步的關(guān)鍵,因為它允許在封裝內(nèi)高密度垂直堆疊不同的芯片。

混合鍵合可以是晶圓到晶圓(wafer-to-wafer)、裸片到晶圓(die-to-wafer)或裸片到裸片(die-to-die)。其主要優(yōu)勢在于,它允許3D器件堆疊以實現(xiàn)垂直縮放和比其他芯片堆疊技術(shù)更高的互連密度。它的另一個特點(diǎn)是細(xì)間距鍵合導(dǎo)致更高的互連密度。這也增加了系統(tǒng)帶寬和功率效率。速度也有所提高,因為傳統(tǒng)的凸點(diǎn)被消除,而是使用直接的銅對銅鍵合。這形成了非常緊密的互連,并且由于焊盤是芯片結(jié)構(gòu)的一部分,因此提高了鍵合強(qiáng)度和可靠性。

360截圖16251112669372.png

在BrewerScience看來,混合鍵合是開創(chuàng)先進(jìn)封裝創(chuàng)新未來的關(guān)鍵。因為混合鍵合提供了一種解決方案,可以實現(xiàn)更高的帶寬以及更高的功率和信號完整性。由于業(yè)界正在尋求通過擴(kuò)展系統(tǒng)級互連來提高最終設(shè)備的性能,因此混合鍵合提供了最有前途的解決方案,能夠?qū)⒍鄠€裸片與小于10µm的小互連間距集成在一起。

而要了解混合鍵合的基礎(chǔ)知識,則需要問三個基本問題:什么是混合鍵合、為什么要使用混合鍵合以及哪種材料最適合您的應(yīng)用?

BrewerScience表示,當(dāng)電子封裝行業(yè)發(fā)展到三維封裝時,微凸塊通過使用裸片上的小銅凸塊作為晶圓級封裝的一種形式來提供芯片之間的垂直互連。凸塊的尺寸范圍從40µm間距到最終縮小到20µm或10µm間距。但是,這就是問題所在;縮小超過10µm變得非常具有挑戰(zhàn)性,工程師們正在轉(zhuǎn)向一種新的解決方案來繼續(xù)縮小尺寸。混合鍵合通過完全避免使用凸塊為10µm及以下間距提供解決方案,而是使用小型銅對銅連接來連接封裝中的裸片。它提供卓越的互連密度,支持類似3D的封裝和高級內(nèi)存立方體。

“混合鍵合是一種永久性鍵合,它將介電鍵合(SiOx)與嵌入金屬(Cu)相結(jié)合以形成互連。它在整個行業(yè)被稱為直接鍵合互連(DBI)?;旌湘I合擴(kuò)展了在鍵合界面中嵌入金屬焊盤的融合鍵合,允許晶圓的面對面連接。”BrewerScience強(qiáng)調(diào)。

360截圖16251112669372.png

因為混合鍵合通過緊密間隔的銅焊盤垂直連接裸片到晶圓(D2W)或晶圓到晶圓(W2W)。雖然W2W混合鍵合已在圖像傳感領(lǐng)域投入生產(chǎn)多年,但業(yè)界仍大力推動D2W混合鍵合的發(fā)展。這種發(fā)展將進(jìn)一步實現(xiàn)異構(gòu)集成,它提供了一種強(qiáng)大而靈活的方式來直接連接不同功能、尺寸和設(shè)計規(guī)則的芯片。

與其他鍵合技術(shù)相比,混合鍵合具有許多優(yōu)勢,包括:允許高級3D設(shè)備堆疊、最高I/O實現(xiàn)10µm以下的鍵合間距、更高的內(nèi)存密度、擴(kuò)展帶寬、增加功率、提高速度效率、消除顛簸的需要,在沒有功率和信號損失的情況下提高性能。

360截圖16251112669372.png

不過ECTC上的一些發(fā)言者則談到了可以改進(jìn)混合鍵合技術(shù)的發(fā)展,例如提高鍵合強(qiáng)度。良品率也有提升空間。污染問題也會影響混合鍵合;雖然污染風(fēng)險并不比其他技術(shù)高,但由于間距小,顆粒阻止傳播的影響更大。

玻璃,正在成為封裝材料新選擇

除了新技術(shù),ECTC還討論了新材料。其中,玻璃正在成為可用于封裝的關(guān)鍵材料之一。它具有許多優(yōu)點(diǎn),例如高導(dǎo)熱性、機(jī)械強(qiáng)度、低介電常數(shù)和低介電損耗。它還能夠創(chuàng)建細(xì)線和空間,允許小間距,并且CTE(熱膨脹系數(shù))比通常用于封裝的其他有機(jī)材料更接近硅。

這種材料也已經(jīng)在RF、HPC、光子學(xué)和CPO(共同封裝光學(xué))應(yīng)用中變得流行起來。

ECTC與會者看到了圍繞玻璃基板和玻璃中介層的發(fā)展,包括佐治亞理工學(xué)院與玻璃供應(yīng)商和IC基板供應(yīng)商密切合作以開發(fā)可靠和高性能的解決方案。正在開發(fā)的一個領(lǐng)域是玻璃芯基板(glass core substrates),以取代傳統(tǒng)IC基板的有機(jī)芯(organic core)。這主要可用于HPC和RF應(yīng)用程序。

除了與硅相比成本更低之外,玻璃還有許多優(yōu)點(diǎn)。它允許將芯片集成到大型封裝中,同時提供更好的平整度,這對于HPC和AI應(yīng)用程序至關(guān)重要。當(dāng)頻率超過5G時,其低介電常數(shù)將至關(guān)重要,屆時6G和7G將再次提高頻率。

使用玻璃芯構(gòu)建IC基板和用玻璃替代硅中介層的挑戰(zhàn)與玻璃的重量以及核心周圍的玻璃和有機(jī)層之間的CTE差異有關(guān),這可能會影響封裝的可靠性。另一個問題是,構(gòu)建跡線(build traces)的金屬化工藝比硅更難。然而,這是一個相對較小的問題,而且很可能會得到解決。

日本材料供商Hoya以及美國的Corning和Schott等公司都有興趣進(jìn)入該領(lǐng)域。

據(jù)一篇題為《Leveraging Glass Properties for Advanced Packaging》的論文介紹,玻璃為先進(jìn)封裝提供了許多機(jī)會。最明顯的優(yōu)勢是材料特性。作為絕緣體,玻璃具有低電損耗,尤其是在高頻下。相對較高的剛度和調(diào)整熱膨脹系數(shù)的能力為管理玻璃芯基板和玻璃通孔(TGV)和載體應(yīng)用的粘合堆疊中的翹曲提供了優(yōu)勢。玻璃還為開發(fā)具有成本效益的解決方案提供了優(yōu)勢。玻璃成型工藝有可能形成面板格式以及低至100微米的厚度,從而有機(jī)會優(yōu)化或消除當(dāng)前的制造方法。

玻璃具有許多特性,使其成為各種包裝應(yīng)用中令人興奮的材料。相對于硅,玻璃的電氣性能降低了電損耗。這在高頻下變得更加重要,這是下一代移動技術(shù)可能采用的路徑。重要的意義在于能夠在保持電池壽命的同時增加智能手機(jī)的功能。

調(diào)整材料屬性(如CTE)極大地促進(jìn)了使用玻璃作為多種形式的2.5D和3D應(yīng)用的TGV基板。此外,以薄而大的高質(zhì)量玻璃板形成玻璃的能力使許多機(jī)會能夠?qū)崿F(xiàn)成本效益。正在展示為有效加工超薄玻璃提供手段的處理技術(shù)。

良好成型的通孔和盲孔的形成已經(jīng)得到證明,并且可以利用現(xiàn)有的金屬化技術(shù)在晶圓和面板形式的玻璃中產(chǎn)生非常好的Cu填充性能。玻璃中銅填充通孔的可靠性能已得到證明。這些發(fā)展使玻璃成為下一代包裝應(yīng)用的令人興奮的材料。

但是,迄今為止,還沒有大批量生產(chǎn),玻璃在封裝中的集成在未來幾年內(nèi)不太可能,因為一些持續(xù)存在的挑戰(zhàn)仍需要解決。不過,據(jù)報道,玻璃基板供應(yīng)商Absolics有望開始小批量生產(chǎn)今年晚些時候或2024年初生產(chǎn)玻璃芯IC基板。

共同封裝光學(xué)(CPO),正在成為現(xiàn)實

據(jù)Yole報道,在封裝領(lǐng)域,大家關(guān)注的另一個趨勢CPO與網(wǎng)絡(luò)和數(shù)據(jù)中心市場相關(guān),在這些市場中,對帶寬增加的需求正在推動光子互連的發(fā)展,以提高速度和降低功耗。

CPO是封裝電子產(chǎn)品和光子芯片,例如與光子芯片互連的ASIC或CMOS芯片。在這一領(lǐng)域,公司進(jìn)行了合作:ECTC上有來自網(wǎng)絡(luò)和數(shù)據(jù)中心、芯片供應(yīng)商、代工廠、OSAT、研發(fā)機(jī)構(gòu)和材料供應(yīng)商的演示。許多人正在關(guān)注先進(jìn)的基板,其中兩個芯片之間的互連是通過IC基板實現(xiàn)的。

Yole表示,在過去的50年里,移動技術(shù)創(chuàng)新每十年推出一次。移動帶寬需求已從語音通話和短信發(fā)展到超高清(UHD)視頻和各種增強(qiáng)現(xiàn)實/虛擬現(xiàn)實(AR/VR)應(yīng)用。盡管COVID-19爆發(fā)對電信基礎(chǔ)設(shè)施供應(yīng)鏈產(chǎn)生了深遠(yuǎn)影響,但全球消費(fèi)者和企業(yè)用戶繼續(xù)創(chuàng)造對網(wǎng)絡(luò)和云服務(wù)的新需求。社交網(wǎng)絡(luò)、商務(wù)會議、超高清視頻流、電子商務(wù)和游戲應(yīng)用程序?qū)⒗^續(xù)推動增長。

每個家庭和人均連接到互聯(lián)網(wǎng)的設(shè)備的平均數(shù)量正在增加。隨著具有更高功能和智能的新數(shù)字設(shè)備的出現(xiàn),Yole觀察到每年都有更高的采用率。此外,智能電表、視頻監(jiān)控、醫(yī)療保健監(jiān)控、連接驅(qū)動器和自動化物流等機(jī)器對機(jī)器應(yīng)用的擴(kuò)展顯著促進(jìn)了設(shè)備和連接的增長,并推動了數(shù)據(jù)中心基礎(chǔ)設(shè)施的擴(kuò)展。

由于預(yù)算削減,共同封裝光學(xué)(CPO)社區(qū)面臨困難時期,因為可插拔器件(pluggables)已經(jīng)可以實現(xiàn)CPO承諾的成本節(jié)約和低功耗。CPO的全面部署只會在可插拔功能用盡時才會發(fā)生。至少在接下來的兩代開關(guān)系統(tǒng)中,很難與可插拔模塊競爭,而可插拔模塊在很長一段時間內(nèi)仍將是首選。CPO最近因其在數(shù)據(jù)中心(DC)中的網(wǎng)絡(luò)能效而受到廣泛關(guān)注。Yole的分析表明,與DC的總功耗相比,網(wǎng)絡(luò)節(jié)省的功耗可以忽略不計。只有Broadcom、Intel、Marvell和其他一些CPO參與者會將專有解決方案推向市場。為了滿足市場需求并讓最終用戶相信CPO的可行性,

隨著6.4T光模塊的到來,CPO和可插拔光學(xué)器件之間的激烈競爭可能會在2029年到來。屆時CPO系統(tǒng)中的多個技術(shù)障礙有望得到解決。然而,收發(fā)器行業(yè)不斷致力于創(chuàng)新以推動可插拔光學(xué)市場。在CPO系統(tǒng)實現(xiàn)網(wǎng)絡(luò)應(yīng)用的批量出貨之前,可插拔將采用聯(lián)合封裝方式,光學(xué)引擎將在高性能計算和未來分解系統(tǒng)中獲得更多普及。圍繞機(jī)器學(xué)習(xí)(ML)系統(tǒng)供應(yīng)商N(yùn)vidia和HPE的包括Ayar Labs、Intel、Ranovus、Lightmatter、AMD、GlobalFoundries等在內(nèi)的工業(yè)生態(tài)系統(tǒng)取得了不錯的進(jìn)展,計劃在2024年至2026年之間實現(xiàn)產(chǎn)品的批量出貨.

Yole預(yù)計,800G和1.6T可插拔模塊會很受歡迎,因為它們利用100G和200G單波長光學(xué)器件,因此可以在QSFP-DD和OSFP-XD外形規(guī)格中以技術(shù)和成本效益的方式實施。就所需的電氣和光學(xué)密度、熱管理和能源效率而言,可插拔外形規(guī)格支持6.4T和12.8容量的能力將受到限制。由于采用分立式電氣設(shè)備,功耗和熱管理正成為未來可插拔光學(xué)器件的限制因素。使用硅光子技術(shù)平臺的共同封裝旨在克服上述挑戰(zhàn)。

360截圖16251112669372.png

Yole進(jìn)一步指出,如今,光可插拔模塊市場供應(yīng)鏈已經(jīng)完善。它包括分立或集成組件供應(yīng)商、生產(chǎn)發(fā)射器和接收器光學(xué)子組件(TOSA和ROSA)的光學(xué)公司、多路復(fù)用器、數(shù)字信號處理器(DSP)和PCB,以及組裝/測試集成商。在這樣的多供應(yīng)商市場模型中,涉及許多不同的供應(yīng)商。此外,一個開關(guān)盒中多個不同可插拔模塊的互操作性有助于行業(yè)的靈活性。這些是目前優(yōu)于CPO的主要優(yōu)勢,后者將嚴(yán)重依賴硅光子學(xué)。憑借高度集成的光學(xué)和硅芯片,將非常需要新的工程能力和代工廠,這對于傳統(tǒng)的中型企業(yè)來說是無法接受的。

但Yole也直言,盡管高端CPO解決方案的主流部署主要針對大型云運(yùn)營商,但仍有大量規(guī)模較小的企業(yè)數(shù)據(jù)中心尚未采用最新的互連技術(shù),因此技術(shù)交流較多慢點(diǎn)。這意味著,即使CPO成為主流技術(shù),可插拔模塊在CPO在技術(shù)上或經(jīng)濟(jì)上不可行的幾個應(yīng)用中仍將有很高的需求,例如長途應(yīng)用和邊緣數(shù)據(jù)中心。為此Yole預(yù)計可插拔技術(shù)在未來10年內(nèi)不會被淘汰。然而,可插拔光學(xué)行業(yè)可能會整合,而CPO市場將形成多供應(yīng)商商業(yè)模式。

未來的努力和挑戰(zhàn)

據(jù)Yole介紹,在ECTC上,大家還談?wù)摿艘恍┙榻B涉及扇出型封裝方法,而其他人則研究了玻璃基板。此外,還討論了多種互連技術(shù)。微凸塊、TSV、RDL、硅橋和硅中介層都在經(jīng)歷技術(shù)改進(jìn)。

Yole重申,在過去幾年中,半導(dǎo)體供應(yīng)鏈?zhǔn)艿搅薈ovid-19、芯片和材料短缺等情況打擊。這在各個方面造成了破壞,并開始促使地方政府投資于本土半導(dǎo)體生產(chǎn)。

在ECTC,他們討論了CHIPS法案將先進(jìn)封裝引入北美的努力。觀察到本土供應(yīng)鏈不同要素之間的強(qiáng)大協(xié)作和伙伴關(guān)系,其中包括設(shè)計、設(shè)備制造商、材料和設(shè)備供應(yīng)商、研發(fā)機(jī)構(gòu)甚至OSAT。

除了在美國開展更先進(jìn)的包裝研發(fā)活動外,目標(biāo)之一是支持從研發(fā)到制造和商業(yè)化的遷移,但公司一致認(rèn)為需要更多的潔凈室空間,這需要基礎(chǔ)設(shè)施投資。這也需要時間。在美國,高昂的勞動力成本、技能短缺和資金需求仍然阻礙著國內(nèi)供應(yīng)鏈的發(fā)展。在歐洲,同樣的因素也適用。

高級封裝支持的異構(gòu)集成是由于當(dāng)今生產(chǎn)高級前端節(jié)點(diǎn)成本更高、難度更大這一事實所驅(qū)動的。從歷史上看,封裝是一個OSAT業(yè)務(wù),它們在這個領(lǐng)域仍然非常重要,但是臺積電和IDM(例如三星和英特爾),在過去十年中提出了先進(jìn)的后端解決方案,并利用它們的前端能力來實現(xiàn)2.5D或3D解決方案,例如硅中介層、硅橋和混合鍵合。

滿足數(shù)字化、AI興起以及網(wǎng)絡(luò)、5G和自動駕駛汽車日益增長的需求的系統(tǒng)要求的性能水平不能僅通過減少硅節(jié)點(diǎn)來滿足,因為相關(guān)的成本和產(chǎn)量損失。因此,注意力集中在高級封裝上,以將來自高級或成熟節(jié)點(diǎn)的管芯集成在一起,以降低系統(tǒng)成本。

先進(jìn)封裝,特別是高端性能封裝將依賴于克服將多個裸片放置在同一封裝中、提高裸片之間的間距、互連密度和帶寬方面的挑戰(zhàn)。因此,該行業(yè)正在尋求異質(zhì)集成和混合鍵合,同時也在研究具有成本效益和改進(jìn)性能的新材料以及CPO等新技術(shù),以將先進(jìn)封裝提升到一個新的水平,以滿足下一代的性能需求。

參考鏈接

https://www.yolegroup.com/strategy-insights/innovation-beyond-moores-law-advanced-packaging-explores-new-frontiers/

https://octopart.com/blog/archives/2022/08/what-are-chiplets-and-how-are-they-used-in-packaging

https://meridian.allenpress.com/ism/article/2015/1/000370/187698/Leveraging-Glass-Properties-for-Advanced-Packaging

https://www.yolegroup.com/product/report/co-packaged-optics-for-datacenter-2023/

THEEND

最新評論(評論僅代表用戶觀點(diǎn))

更多
暫無評論